Gate-Sizing-Based Single V<sub>dd</sub> Test for Bridge Defects in Multivoltage Designs



Khursheed, Saqib, Al-Hashimi, Bashir M, Chakrabarty, Krishnendu and Harrod, Peter
(2010) Gate-Sizing-Based Single V<sub>dd</sub> Test for Bridge Defects in Multivoltage Designs. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 29 (9). pp. 1409-1421.

[img] Text
05552193.pdf - Unspecified
Access to this file is embargoed until Unspecified.

Download (1MB)

Abstract

The use of multiple voltage settings for dynamic power management is an effective design technique. Recent research has shown that testing for resistive bridging faults in such designs requires more than one voltage setting for 100% fault coverage; however, switching between several supply voltage settings has a detrimental impact on the overall cost of test. This paper proposes an effective gate sizing technique for reducing test cost of multi-Vdd designs with bridge defects. Using synthesized ISCAS and ITC benchmarks and a parametric fault model, experimental results show that for all the circuits, the proposed technique achieves single Vdd test, without affecting the fault coverage of the original test. In addition, the proposed technique performs better in terms of timing, area, and power than the recently proposed test point insertion technique. This is the first reported work that achieves single Vdd test for resistive bridge defects, without compromising fault coverage in multi-Vdd designs. © 2010 IEEE.

Item Type: Article
Uncontrolled Keywords: Design for testability gate sizing, multiple-V-dd designs, resistive bridging faults, test cost
Depositing User: Symplectic Admin
Date Deposited: 07 Sep 2015 08:50
Last Modified: 13 Oct 2023 02:02
DOI: 10.1109/TCAD.2010.2059310
Related URLs:
URI: https://livrepository.liverpool.ac.uk/id/eprint/2024267