Browse by People


Up a level
Export as [feed] RSS [feed] RSS 2.0 Short Author List
Number of items: 10.


Rossi, Daniele, Tenentes, Vasileios, Yang, Sheng, Khursheed, Saqib and Al-Hashimi, Bashir M
(2017) Aging Benefits in Nanometer CMOS Designs. IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS, 64 (3). pp. 324-328.


Tenentes, Vasileios, Khursheed, Saqib, Rossi, Daniele, Yang, Sheng and Al-Hashimi, Bashir M
(2015) DFT Architecture With Power-Distribution-Network Consideration for Delay-Based Power Gating Test. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 34 (12). pp. 2013-2024.


Khursheed, Saqib, Shi, Kan, Al-Hashimi, Bashir M, Wilson, Peter R and Chakrabarty, Krishnendu
(2014) Delay Test for Diagnosis of Power Switches. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, 22 (2). pp. 197-206.


Khursheed, Saqib, Al-Hashimi, Bashir M, Reddy, Sudhakar M and Harrod, Peter
(2009) Diagnosis of Multiple-Voltage Design With Bridge Defect. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 28 (3). pp. 406-416.


Zhong, Shida, Khursheed, Saqib and Al-Hashimi, Bashir M
(2011) A Fast and Accurate Process Variation-Aware Modeling Technique for Resistive Bridge Defects. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 30 (11). pp. 1719-1730.


Khursheed, Saqib, Al-Hashimi, Bashir M, Chakrabarty, Krishnendu and Harrod, Peter
(2010) Gate-Sizing-Based Single V<sub>dd</sub> Test for Bridge Defects in Multivoltage Designs. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 29 (9). pp. 1409-1421.


Tenentes, Vasileios, Rossi, Daniele, Khursheed, S Saqib, Al-Hashimi, Bashir M and Chakrabarty, Krishnendu
(2018) Leakage Current Analysis for Diagnosis of Bridge Defects in Power-Gating Designs. IEEE Transactions on Computer - Aided Design of Integrated Circuits and Systems, 37 (4). pp. 883-895.


Zhao, Yi, Khursheed, Saqib and Al-Hashimi, Bashir M
(2015) Online Fault Tolerance Technique for TSV-Based 3-D-IC. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, 23 (8). pp. 1567-1571.


Ingelsson, Urban, Al-Hashimi, Bashir M, Khursheed, Saqib, Reddy, Sudhakar M and Harrod, Peter
(2009) Process Variation-Aware Test for Resistive Bridges. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 28 (8). pp. 1269-1274.


Yang, Sheng, Khursheed, Saqib, Al-Hashimi, Bashir M, Flynn, David and Idgunji, Sachin
(2011) Reliable State Retention-Based Embedded Processors Through Monitoring and Recovery. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, 30 (12). pp. 1773-1785.

This list was generated on Tue Feb 6 11:28:49 2024 GMT.